搜索

您当前位置: 首页 > 新闻中心 > 行业资讯

新闻中心
小鸟体育官网半导体制造设备供应链的秋月春风

类别:行业资讯   发布时间:2023-12-20 01:44:19   浏览:

  小鸟体育官网半导体制造设备是整个产业的基石,贯穿设计、硅片制造、晶圆制造及封装测试等环节,尤其晶圆制造环节所用设备分量最大。当前,在晶圆制造中刻蚀机、光刻机和薄膜沉积设备为三大主设备,另有氧化扩散热处理设备、清洗设备、离子注入机、研磨抛光机和工艺检测等重要设备。在硅片生产中涉及到单晶炉、滚磨机、切片机、倒角机、研磨设备、抛光设备、清洗设备、检测设备等。在封装测试中涉及划片、装片、键合、塑封、电镀、切筋成型、探针台、分选机、测试机等设备。在设计环节也涉及部分测试设备。典型的集成电路制造涉及数百道工序,其中主要是扩散、薄膜沉积、光刻、刻蚀、离子注入、抛光、及金属化等工艺,根据需要进行必要工序的若干次循环。2018 年全球半导体设备市场规模约 645 亿美元,几乎被美日欧企业垄断,其中荷兰的ASML垄断高端光刻机,美国的 LAM、AMAT 和日本的 TEL 是三家最主要的刻蚀和薄膜沉积设备生产商。本土企业需要长期的坚持和努力。

  ASML 专注于光刻机的研发和制造,旗下有 TWINSCAN 系列、YieldStar 系列、PAS550 系列产品,其中 TWINSCAN 系列是当今精度和效率最高、应用最广的高端光刻机,ASML 凭借 EUV 技术占据了约 80%的市场份额,将老对手 Nikon、Canon 远远甩在身后,不过 20 年前 Nikon 和 Canon 分别有 41.6%和 34.8%的市场份额,ASML 仅占 22.4%。转折始于十几年前,业内进行了一次革命性技术的尝试,ASML 呼应 TSMC 的林本坚进行浸润式微影技术验证,冲破了重重阻碍,一跃成为行业的主流,而 Nikon、Canon 投入巨资研发的干式微影技术则失去了大部分市场。彼时对 Nikon、Canon 更为不利的是,英特尔和美国能源部汇聚全球研究资源,包括劳伦斯伯克利实验室、摩托罗拉、AMD 等企业成立 EUV 光刻技术研发联盟,ASML 有幸加入并分享了技术成果,Nikon、Canon 被拒之门外。

  日本教授中马宏之评价 ASML 的“成功之道”是通过高度外包的开放式创新,快速“集成”各领域最先进的技术(供应商除了提供零部件,还提供知识),设计和“组装”出最先进的机器系统,快速甩开对手,赢得市场。据说 ASML 的设备中 90%的零件是外包,除控制系统自做之外,其余的有来自德国的光学设备和超精密机械、美国的计量设备和光源设备等等,外包程度之高有点不可思议,但在现阶段恰好是其竞争力的源泉之一。光刻机需要最前沿的基础研究,是顶尖的精密机械之一,属于典型的烧钱、烧脑、烧时间型产品,作为后来者,要赶超 Nikon、Canon 等业内大佬,ASML 选择与外部资源合作的开放式路径,比单一的垂直整合模式效率高、风险小。其开放式创新体现在整个供应链,把供应商(包括大学、研究机构等)作为研发伙伴,让渡部分利润(合作方以折扣价获得产品)以换取对方的知识;重大项目投资则邀请客户参与,出让股权捆绑风险和收益,2012 年 Intel、TSMC 和 Samsung 等巨头出巨资入股,以获得最新设备的优先购买权并获得投资受益,而 ASML 则稳定了市场,降低了经营风险。

  除自带开放基因并广泛组建供应链联盟之外,ASML 还是个并购高手,1995 年上市募集资金后除增加研发投入外,也加速主业相关的并购。1999 年并购 MaskTools,改善扫描和成像能力;2001 年并购 Silicon Valley Group,增强投影掩罩瞄准等技术;2007 年收购睿初(Brion),增强光刻缺陷检测及修正能力;2013 年并购 Cymer,获得极紫外光源技术;2016 年收购台湾的电子束测量工具制造商汉微科(HMI);2017 年收购了卡尔蔡司的部分股权,巩固 EUV 系统技术;2019 年收购了 Mapper 的 IP 资产。如今,ASML 在强者愈强的道路上颇有几分孤独求败的味道,并购策略与联盟策略共同促进了研发成本和市场风险的降低,并加速了新技术的集成和应用。从成立初期积极寻求政府资金支持以及同科研单位合作,到上市后不断进行加强主业的并购,构建供应链与市场联盟则将自身、供应商与客户紧密捆绑在一起,坚持聚焦主业,坚持以客户和市场为导向,并注重基础研究、可行性研究和集成能力……ASML 过往的成功经验有值得借鉴的地方,但这或许并不适合所有的企业,即便是 ASML,也会不断面临新的挑战。

  据说几年前 Intel、IBM、三星等半导体巨头特意扶持,在硅谷成立了一家光刻机厂商 XTAL,以避免 ASML 一家独大,但 2018 年 ASML 起诉 XTAL 侵占知识产权,最终 ASML 胜诉并在 2019 年获得了 8.45 亿美金赔偿,XTAL 破产。未来的技术、市场和国际局势是否还会再起波澜,老对手是否还会卷土重来?看不见的硝烟或许正在弥漫。成立于 1917 年的 Nikon,2019 年半导体设备销售额达 12 亿美元。成立于 1937 年的 Canon,2019 年半导体设备销售额 6.9 亿美元。作为光刻机的前任霸主,两家公司的相机、镜头和光学技术根基深厚。

  值得一提的是,半导体设备领域的名企除了 ASML,还有 ASMI 和 ASMPT,光看名字就晕菜,这三位的渊源可深着呢。ASMI(ASM International,先域)于 1964 年成立于荷兰,业务包括光刻、沉积、离子注入和单晶圆外延,1971 年开始生产气相沉积炉,1999 年收购了芬兰公司 Microchemistry,2004 年收购了韩国公司 Genitech,加强了在原子层沉积(ALD)领域的地位, 推动了 ALD 和 PEALD(等离子增强原子层沉积)的规模化应用,2019 年销售额为 12.6 亿美元,业内排名第十。ASMPT(ASM Pacific Technology)成立于 1975 年,总部在新加坡,提供封装与测试设备及 SMT(Surface Mount Technology,表面贴装技术)方案,2019 年销售额为 8.9 亿美元,业内排第 14 位。ASMI 持有 ASMPT 股份。

  与鼎鼎大名的 ASML 相比,半导体设备界的头号霸主 AMAT(Applied Materials,应用材料)就显得“低调”多了,它长期保持着业界领导地位,提供半导体、显示器和太阳能等制造设备、软件和服务。2019 年 AMAT 销售额为 134.7 亿美元,紧随其后的 ASML 销售额为 127.7 亿美元。成立于 1967 年的 AMAT 是个全能型选手,在半导体领域发力早,经历了技术工艺的完整周期,业务范围几乎覆盖了除光刻机外的所有关键设备,加之长期对研发和创新的投入,其 PVD、CVD、刻蚀设备、离子注入机、高温炉、CMP 设备等均在业界名列前茅,并在材料工程领域积累了技术底蕴,子公司 AKT 是等离子体化学气相沉积设备的领头羊。AMAT 在许多关键设备上突破了技术难题,在业内属于以创新驱动发展的企业。

  AMAT 也很注重围绕主业的并购,1996 并购 Opal Technologies 和 Orbot Instruments,进军 IC 监测与控制设备;1998 年并购 Consilium 获得 MES 系统;2000 年并购 Etec Systems 获得光罩生产和薄膜晶体管阵列测试;2001 年并购 Oramir Semiconductor 获得半导体镜片清洗技术;2008 年并购 Baccini 开拓意大利市场;2009 年并购 Semitool 获得晶圆级封装技术;2011 年并购 Varian Semiconductor 获得离子注入系统……除了为客户供应设备,AMAT 还特别重视服务类收入,如提供集成解决方案、专业顾问以及工厂自动化软件等,从起始阶段的流程设计到过程中解决客户的高价值问题,能提供差异化的设备性能和量产解决方案。这在提升客户粘性和满意度的同时,也增强了行业低迷时的抗风险能力。

  AMAT 通过“技术研发+外延收购”、“销售设备+绑定服务”等多种组合来打造竞争力,并有完善的资本市场融资机制保证流动性充裕,此外还积极与大学、科研院所等机构合作进行技术研发和人才培养。作为第一家进入内地的外资半导体设备与材料工程解决方案的领先企业,从 1984 年开始,先后在北京、上海、天津、苏州、无锡和西安等地设立业务机构,内地已成为 AMAT 第三大营收来源。AMAT 还多次获评优良雇主和商业道德企业。

  除了 AMAT,业内美系名企还有 LAM、KLA-Tencor 和 Teradyne 等。成立于 1980 年的 LAM(Lam Research,泛林、拉姆或科林)以刻蚀机、薄膜沉积和清洗等设备在业内闻名,1990 年进入内地市场,2019 年 LAM 的销售额与排名第三的东京电子很接近。LAM 是硅技术路线图的根本推动者,专注于蚀刻、沉积和清洁市场,受益近年 3D NAND 不断增加的层数、及晶圆代工厂向 7 纳米工艺的过渡,LAM 在蚀刻和沉积领域的市场份额不断增长。KLA-Tencor(科天、科磊)于 1997 年由 KLA 和 Tencor 合并而来,最初从掩膜检测业务起家,以综合性缺陷检测和计量产品及解决方案,为纳米电子产业提供工艺控制与良率管理服务,先后收购 10 余家半导体检测设备公司,完成对半导体前道检测业务的全面布局,在晶圆加工前道检测和测量市场中居行业第一。2019 年销售额为 46.6 亿美元,排名第五,研发支出占营收约 15%~20%。成立于 1960 年的 Teradyne(泰瑞达)将制造业中重复的手动任务和电子测试自动化,是仅次于日本爱德万的半导体后道测试设备企业,业务覆盖模拟、混合信号、存储器及 VLSI 器件测试、系统测试、无线测试以及工业自动化等,测试台市占率全球居首,2019 年销售额为 15.5 亿美元,排名第八。

  日本也是半导体制造设备业的顶尖角色,综合实力可与美国一较高下,名企有东电、爱德万、斯科、日立高科、国际电气、大福以及前面提到的尼康和佳能,等等。成立于 1963 年的 TEL(东京电子,Tokyo Electron Limited)由代理起家,之后转行半导体制造设备,伴随日本半导体行业崛起成为业内顶尖企业之一,产品涉及半导体制造所需的大部分设备,如涂胶显影、热处理成膜、干法刻蚀、CVD、清洗及封测等设备,2019 年销售额为 95.5 亿美元,排名第三。成立于 1954 年的 Advantest(爱德万)是半导体后道测试设备龙头,产品覆盖存储器、SoC 芯片、MCU 以及传感器 IC 等的自动化测试设备、机电一体化测试系统等,研发支出占营收比约 15%~20%,2003 年率先推出开放式架构测试系统,2011 年收购惠睿捷补充了中高端 SoC 测试系统。2019 年销售额为 24.7 亿美元,排名第六。

  在美日欧之外,韩企和中国台湾企业在半导体设备领域也有一定积累,成立于 1993 年的韩国三星的子公司 SEMES,主要生产清洗、光刻和封装设备,预计将会扩展半导体与显示面板制造设备业务。成立于 1998 年的台企汉微科(HMI,Hermes Microvision,Inc)凭借专业眼光和多年坚持,成为电子束晶圆检测技术的领导者,其后发先至颇具示范性。

  晶圆加工位于半导体设计和封装测试的中间地带,有很高的资金、技术和人才壁垒,是整个产业链的关键一环,而半导体设备的供应又是晶圆加工厂的关键,环环相扣,缺一不可。晶圆加工工序动辄要数百个,晶圆厂为使良率达标已耗费大量的精力和资源,很难再有余力去做设备和材料的开发,通常会向合作方让渡更多利润以获得更好的技术支持,设备厂就几乎成了晶圆厂外置的研发中心。同时,设备定制化和系统性服务也带来极高的客户粘性和转换成本。近年来,内地连续成为全球第二大半导体设备市场,但关键设备和先进技术存在瓶颈,使得产业的重要环节受制于人,困境亟待解决。

  晶圆加工设备占整个半导体设备 80%左右的比例,测试设备约占 9%,封装设备约占 6%,硅片等生产设备约占 5%。内地晶圆加工设备代表性企业有北方华创、中微半导体、晶盛机电、电科装备、上海微电子、京运通、盛美半导体、芯源微、中科信、沈阳拓荆、中电科 48 所、上海凯世通、华海清科、中电科 45 所、南京晶能、烁科及众硅等,本土配套能力一步步增强,刻蚀机等部分设备进口替代率提升。北方华创的产品有刻蚀机、PVD 设备、CVD 设备、氧化扩散设备、清洗机等,服务于半导体、新能源、新材料等市场,2018 年收购 Akrion,12 寸单片清洗机产品线得到进一步加强。中微半导体的介质刻蚀机已经进入到 TSMC 供应体系,MOCVD 设备市场领先。沈阳拓荆有等离子化学气相沉积 PECVD 等镀膜设备。中电科电子装备的产品涉及 CMP、离子注入机、光刻机、及电化学沉积设备(ECD)等,服务于材料加工、芯片制造及封测等多个领域。CMP 设备厂商还有华海清科、中电科 45 所等。

  晶盛机电的产品有全自动单晶炉、多晶铸锭炉、区熔硅单晶炉、蓝宝石炉等,硅片环节切磨抛整线能力具备,服务于半导体、光伏和 LED 照明等领域。京运通的产品有单晶硅生长炉、多晶硅铸锭炉、区熔炉等光伏及半导体设备,以及硅锭、硅棒和硅片等半导体材料。上海微电子的产品有光刻机等设备。盛美半导体(上海)侧重于湿法加工技术和清洁技术。芯源微产品包括光刻工艺中的涂胶显影设备(涂胶 / 显影机小鸟体育官网、喷胶机)和单片式湿法设备(清洗机、去胶机、湿法刻蚀机)。内地清洗设备厂商还有至纯科技等,高端清洗机市场仍以日本的 DNS、TEL 和美国的 LAM 为主。内地离子注入机厂商有中科信、中电科 48 所、上海凯世通(2018 年并入万业企业)等。

  在封装设备领域,日本 Disco 垄断了全球 80%以上的减薄机和划片机的市场,我国类似的传统封装设备国产化率约 10%左右,不过先进封装用光刻机、刻蚀机、植球机等设备的国产化率较高。半导体封装环节的主要设备引线键合机的主要供应商为 ASMP(ASM Pacific Technology)、美国奥泰、德国 TPT、奥地利 FK 等国外企业,其中 ASMP 的后道工序业务市占率第一,占全球总量的 25%。

  检测贯穿半导体产业全过程,从最初的设计到最终的产品都有极其严格的规范,检测设备是 IC 良率控制的关键。半导体检测设备分前道量测设备和后道测试设备。前道量测设备主要用于晶圆加工环节,检查加工参数和缺陷值是否合乎要求,属于物理性的检测;后道测试设备主要是用在晶圆加工之后、封装测试环节内,后道因检测对象不同又分为 CP(晶圆测试,Circuit Probing)和 FT(功能测试,Functional Test),后道检查芯片的电性能是否符合要求。物理检测设备主要用于硅片测试和晶圆加工过程的工艺监控,硅片测试设备主要包括厚度仪、颗粒检测仪、硅片分选仪等,晶圆加工工艺监控设备有各类显微镜、热波系统、探针卡、探针台和测试机等。终测是对封装后的芯片的功能和电性能的测试,设备有测试机和分选机等。

  在检测设备领域,内地代表性企业有精测电子、长川科技、华峰测控、上海睿励、佛山联动和北京冠中等,精测电子同时布局前道量测设备和后道测试设备。长川科技的主要产品包括测试机、分选机、探针台、自动化生产线等,收购新加坡 STI 也进一步加强了封测业务。精测电子主营产品包括 AOI 光学检测系统、模组检测系统、面板检测系统、及 OLED 检测系统等。上海睿励的主要产品有电子光学检测仪器、硅片测量设备及缺陷检测设备等。华峰测控的主要产品是模拟及混合信号类集成电路自动化测试系统等小鸟体育官网。内地厂商实现了部分的进口替代,但探针台和高端测试机依旧由海外龙头垄断,泰瑞达、爱德万、Cohu 约占测试机 90%的份额;东京精密和东京电子约占探针台 80%的份额。

  从设计到硅片和晶圆的生产加工再到测试,中国的半导体产业链正在完善,关键设备的本土化是大势所趋。大体来看,当前半导体设备的国产率低且存在很大差异:氧化设备、清洗设备约在 50%以上;CMP、刻蚀和退火设备约在 15%-30%左右;薄膜沉积、测试设备约为 2%-3%;探针台和光刻机则完全依赖进口……与国际先进水平相比,国产半导体设备的技术水平差距很大,要突破技术封锁,确保供应链安全任重道远。内地在电子信息产业升级和遭遇技术封锁的形势下,保证供应链的基本自给和竞争能力显得尤为迫切。培育有真技术的本土化设备和材料厂商成为业内共识。

  缺少上游的设备和材料支撑的内地 IC 制造产业,某种程度上是在给欧美日发达国家干苦力,而且还要仰人鼻息,动辄被断供和封锁。半导体设备和材料技术的发展迫在眉睫,但心急吃不了热豆腐。最根本的在于打造半导体上下游的产业生态,包括技术、人才、资金和市场等等。对于精细复杂的系统工程来说,急于求成反而会适得其反。有业内人士指出,内地半导体产业不怕慢,就怕因操之过急而舍本逐末,就怕同样的错误反复犯,原地打转转。一旦自乱阵脚,一旦体系和方寸乱了,就会陷入补了东墙补西墙、疲于奔命的尴尬境地,一旦陷入一窝蜂、不可持续发展的泥潭,就无法突出重围。业内最需要的是,沉下心来让量的扩张建立在技术能力提升的基础上,这样才能体现出其价值所在。如果能做到资本小鸟体育官网、技术、人才和市场共同地、长期持续地发生作用,技术就必然会有突破。

  在 5G、6G 时代、及数字经济时代,有产业政策的支持,有工程师红利,加上技术封锁使内地的产业形成空前的凝聚力,市场也会给予内地设备厂商更多的机会,这些都是内地半导体设备产业发展的有利条件。

  据赛迪智库集成电路研究所统计,至 2018 年年底,台积电南京厂投产后,内地已量产的 12 英寸集成电路生产线 年,长江存储、无锡华虹、中芯国际、台积电等产线按期投产或扩产将进一步提升产能,根据已知材料分析,未投产的新增产能将达到 86.5 万片 / 月。SEMI 预计中国半导体制造设备的支出将从 2019 年的 129 亿美元增加到 2020 年的 149 亿美元。国内晶圆厂、上游硅片厂的扩产、以及存储器的国产化,均带来庞大的设备需求,并且存储器厂并不需要最先进的制程,且新建厂商还未形成客户粘性,加之产业政策与资金持续加码,大基金二期设备和材料也是重点投资方向之一。

  显然,投资浪潮为上游设备厂商提供了巨大市场机会,但产线密集投产后,内地企业也将在产品、技术、人才和供应链等方面与全球领先的公司展开更为激烈的竞争,加上疫情和国际环境的双重冲击,内地晶圆厂也伴随诸多新的考验。产业所需的健康生态问题、可持续发展问题始终是排在首位的重中之重。大致还可以细化为以下几点:

  1、半导体设备供应链品类和环节众多,缺一不可,任何一块短板都有可能成为发展的障碍,供应链的协同能力,技术的均衡发展,就显得特别重要。

  2、本土设备厂商要获得主流的产品认证,主流的客户认证,核心竞争力要具备可持续扩张性,只有这样,市占率才能得到巩固,才有进一步提升的可能性。

  3、对单一企业来说,先专注某一领域做强做大,再并购整合其它业务,是国际巨头共同的成长和发展路径。

  4、长期持续的高额研发投入、保持创新能力、择机外延并购,以及在全球范围内整合优质资源,是国际主流半导体设备厂商保持竞争力的主要手段。

  5、人才、技术、设备、工艺、资金、和市场需求等因素均是供应链制衡的条件,整个产业在地球村形成一个凶险的生态链丛林,而决非温情脉脉的乌托邦。

  6、技术的发展决非一朝一夕之功,产业链的发展,工艺的进步需要的是下苦工夫,坚持人才培养与引进,坚持研发积累,既不要盲从,也不要痴迷于所有轮子都自己造。

  7、当前供应链遇到严峻挑战,但也要避免大而全、小而全的倾向,晶圆代工作为高技术、重资产、高风险的行业,需要理性、长远、严谨的发展对策。

  ASML 的“三兄弟”往事,半导体行业观察,2020 年 06 月 06 日

  光刻巨头 ASML 是怎么炼成的?独立自主? 非也!张竞扬 摩尔精英,anybody881,2018 年 4 月 21 日

  晶圆代工争霸战四部曲!超详细的各晶圆厂前世今生,满天芯搜狐号,lynn1205 的科技博客,2017-10-31

  2020 年全球及中国半导体设备行业市场规模、投资规模及行业发展机遇分析[图],智研咨询,中国产业信息网,2020 年 04 月 20 日

  全球和国内半导体设备厂最新排名!来源:ittbank,2020-04-06

  世界顶级半导体制造设备企业 AMAT 全解析,李倩,华秋电子说,2018-04-04,

  全球和国内半导体设备厂最新排名! ittbank,物联网智库搜狐号,2020-04-06

  史晨星 -- 半导体全面分析(六):千亿市场、三大设备、四大巨头!360 个人图书馆,2020-2-1

  非常长的“七天”终于去了,电子界又发生翻天覆地的变化,我们集合了本周值得关注的信息,一文看完一周大事。如果您对 一周速览 栏目有任何建议或想看的内容,欢迎随时提出您的建议。 特别关注 纳米压印光刻概念突起 最近,媒体圈和二级市场又热闹起来了。 事情起源于日本佳能公司10月13日的新闻,该公司宣布推出FPA-1200NZ2C纳米压印(NIL)半导体制造设备,该设备执行电路图案转移,这是最重要的半导体制造工艺。随着模具技术改进,NIL有望使电路图案化的最小线日当日,美迪凯、晶方科技、利和兴、苏大维格等纷纷大幅拉升。 一些媒体解读——它可以绕过制裁,也可以可以绕过光刻技术造5nm

  能绕过制裁吗;台积电获美国豁免;高通又裁了丨一周速览 /

  据中国芯谷报道,光刻机设备为该研究所在cmos领域研发提供了重要支撑,此外,双方将就半导体领域研发进行深入对接,共同推动产业发展。 福建安芯半导体是泉州芯谷南安分园区引进的首家高科技半导体设备公司,主要经营黄光设备设备翻新、改造和安装调试,自主研发新黄光和蚀刻设备的销售及技术支持,并提供半导体整线解决方案,产品涉猎集成电路、LED、MEMS、显示面板、光伏等黄光设备领域。 今年3月13日,福建安芯半导体一台值近千万元的光刻机出货,交付杭州海康威视公司用于生产耳温枪。据泉州网报道,当时福建安芯半导体总经理张琪表示,目前我们尚不能完全自主研发,但通过改造、提升,实现了60%至70%的国产化。

  ,是否线日,今年印度班加罗尔科技高峰会(Bengaluru Tech Summit 2020)开幕,印度通信和信息技术部部长普德(Ravi Shankar Prasad)在开幕式接受媒体采访时表示印度正在坚决执行莫迪总理提出的“印度科技自主计划”,推出了一系列鼓励发展手机制造业的政策,其中有一句话很值得注意:“苹果的九家供应链合作伙伴从中国转移到了印度。” 印度各大媒体霎时间都把这句话作为了报道此次峰会的标题,给读者渲染出了一种智能手机制造业从中国转移到印度的感觉。虽然普德没有点出他口中的苹果九家供应链都涉及哪些厂家,但纵观2019年以来苹果在印度的各种布局,就可知其中的领头企业为苹果的三家主要代工厂:富士康、和硕(

  ,是否真的是一场梦 /

  集微网消息,今(30)日,总部位于荷兰的半导体设备供应商台湾艾司摩尔(ASML)于台肥新竹TFC ONE大楼举行台湾总部启用典礼,新竹市长林智坚表示,欢迎更多厂商进驻竹科 X 园区。 新竹市长林智坚与ASML总部全球总裁暨执行长温彼得(Peter Wennink)共同为ASML台湾总部揭幕,他表示,让更多企业到新竹市投资,为台湾经济带来更大的动能,进而创造更多的就业机会与人口红利,一直是他积极努力的目标。 艾司摩尔总部全球总裁暨执行长温彼得(Peter Wennink)表示,ASML 在台湾成立分公司已15年,是荷兰以外全球最重要的据点,ASML台湾的员工人数在近年来快速成长,预计至今年底将超过2,500人,ASML在

  主要观点 公司是大陆领先设备制造企业中,唯一的A股上市公司,且是国有控股企业(实际控制人北京电控,是北京国资委特大型高科技企业集团),有50多年电子装备及元器件的生产制造经验基础,是国内领先的集成电路设备和军工电子元器件研发和生产企业,在本土半导体产业格局中,具备很强的稀缺性和比较优势。   格局优势,凸显长期发展的战略位置 我们始终认为,本土的半导体行业,一方面将迎来国家主导的投融资高潮,另一方面主要企业处于“小且分散”的局面,未来子行业龙头具备很大的发展潜力,最终比肩国际一流标的公司。 在如此发展过程的初期阶段(即未来1~2年时间),企业的行业格局将决定其发展的基调和未来路径,譬如说,教练员在甄选优秀运动

  裁员、倒闭。IT产业的冬天,看来没有谁能完全躲得过。 昨天从一位业内人士处获悉,刚在国际市场崭露头角的本土半导体设备企业新贵——上海中微半导体(下称“中微”),经历了一场难堪的裁员,涉及30多个技术主力。而且,一个原本看好的关键业务部门也被撤销。 该人士透露说,被撤销的部门称为CVD,即“高压热化学沉积设备”部门。这也是中微两大关键业务与产品线之一,另一个则是离子刻蚀设备业务部门。 而就在半年前,在全球半导体材料与设备展会(日本)上,这家公司曾高调宣布,由其独立研发的用于65纳米至45纳米高端芯片生产的设备,已正式进入全球顶级半导体设备市场。这标志着中国本土企业首次在半导体关键设备领域进入了12英

  11月25日,市场研究公司总裁罗伯特·卡斯特拉诺(Robert Castellano)表示:“过去三年来,应用材料一直在晶圆制造前段工序(WFE)的设备市场上失去市场份额,而 ASML却将凭借其价格高昂的EUV光刻设备大批出货实现超越,取代应用材料成为最大的半导体设备公司。” 据了解,应用材料在2018年的市场份额为19.2%(低于2015年的23.0%),今年小幅增长到了19.4%。而ASML的市场份额今年有望从2018年的18.0%增长到21.6%。 卡斯特拉诺还表示,到2020年,整个WFE市场将迎来5%的小幅增长,再加上半导体制造商原先计划的资本支出,ASML的市场份额有望进一步提高到22.8%,而应用材料将保持其

  中国,2012年1月30日 —— 横跨多重电子应用领域的全球领先的半导体供应商、世界领先的标准集成电路制造商意法半导体(STMicroelectronics,简称ST;纽约证券交易所代码:STM)日前推出业界首款符合最新的SD (Secure Digital) 3.0标准的电压电平转换器。存储容量的增加和SD 3.0的访问速度,加上低静态功耗和小封装尺寸,使意法半导体的新电平转换器成为连接应用处理器或数字基带芯片与SD存储卡的理想选择,特别适用于手机、便携媒体播放器、平板电脑、数码相机或个人导航设备。 SD 3.0接口标准支持高达2 TB 的存储容量,以50 MHz 双倍数据速率运转,SD接口读写速度提高到每秒

  直播回放: Rochester 罗彻斯特电子为您细说 - 半导体停产后的挑战与解决方案

  有奖直播 与英飞凌一同革新您的电动汽车温控系统:集成热管理系统(低压侧)

  报名直播赢【保温杯】等好礼|TI MSPM0 MCU 在汽车系统中的应用

  ADI世健工业嘉年华—有奖直播:ADI赋能工业4.0—助力PLC/DCS技术创新

  12月19日,摩尔线程首个全国产千卡千亿模型训练平台——摩尔线程KUAE智算中心揭幕仪式在北京成功举办...

  现代世界正逐渐采用更自然的人机界面(HMI)。我们不仅可以与智能音箱交谈,还可以在纸张般的电子阅读器上阅读。电子产品已成为我们的数字 ...

  Achronix提供由FPGA赋能的智能网卡(SmartNIC)解决方案来打破智能网络性能极限

  随着人工智能 机器学习(AI ML)和其他复杂的、以数据为中心的工作负载被广泛部署,市场对高性能计算的需求持续飙升,对高性能网络的需求也 ...

  芯原的开放硬件平台促进开源软件生态系统的发展2023年12月19日,中国上海芯原股份今日宣布与谷歌合作支持新推出的开源项目Open Se Cu ...

  1、市场背景随着科技水平的快速发展,5G时代来临,汽车的自动驾驶功能已经越来越广泛地被运用在实际生活中。激光雷达以其具备高精度和高适 ...

  嵌入式处理器嵌入式操作系统开发相关FPGA/DSP总线与接口数据处理消费电子工业电子汽车电子其他技术存储技术综合资讯论坛电子百科